Part Number Hot Search : 
ISS226 ICX262AQ 2012A STV8247D GPC130A V60100 38B76F3 LM2576
Product Description
Full Text Search
 

To Download CY7C036A Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
 CY7C026A CY7C036A16K x 16/18 Dual-Port Static RAM
CY7C026A CY7C036A
16K x 16/18 Dual-Port Static RAM
Features
* True dual-ported memory cells that allow simultaneous access of the same memory location * 16K x 16 organization (CY7C026A) * 16K x 18 organization (CY7C036A) * 0.35-micron CMOS for optimum speed/power * High-speed access: 12[1] /15/20 ns * Low operating power -- Active: ICC = 180 mA (typical) -- Standby: ISB3 = 0.05 mA (typical) * Fully asynchronous operation * Automatic power-down * Expandable data bus to 32/36 bits or more using Master/Slave chip select when using more than one device * On-chip arbitration logic * Semaphores included to permit software handshaking between ports * INT flags for port-to-port communication * Separate upper-byte and lower-byte control * Pin select for Master or Slave * Commercial and Industrial temperature ranges * Available in 100-Pin TQFP * Pb-Free packages available
Logic Block Diagram
R/WL UBL R/WR UBR
CEL LBL OEL
8/9 8/9 8/9
CER LBR OER
I/O8/9L-I/O15/17L I/O0L-I/O7/8L
[3]
[2]
I/O Control
I/O Control
8/9
I/O8/9L-I/O15/17R I/O0L-I/O7/8R
[3]
[2]
14
A0L-A13L
Address Decode
14
True Dual-Ported RAM Array
Address Decode
14
14
A0R-A13R
A0L-A13L CEL OEL R/WL SEML BUSYL INTL UBL LBL
Interrupt Semaphore Arbitration
[4]
[4]
A0R-A13R CER OER R/WR SEMR BUSYR INTR UBR LBR
M/S
Notes: 1. See page 6 for Load Conditions. 2. I/O8-I/O15 for x16 devices; I/O9-I/O17 for x18 devices. 3. I/O0-I/O7 for x16 devices; I/O0-I/O8 for x18 devices. 4. BUSY is an output in master mode and an input in slave mode.
Cypress Semiconductor Corporation Document #: 38-06046 Rev. *C
*
198 Champion Court
*
San Jose, CA 95134-1709 * 408-943-2600 Revised September 6, 2005
CY7C026A CY7C036A
Pin Configurations
100-Pin TQFP (Top View)
SEML R/WL I/O9L I/O8L I/O7L I/O6L I/O5L I/O4L I/O3L I/O2L I/O1L I/O0L GND VCC A13L A12L A10L A11L OEL CEL UBL LBL A9L A8L A7L 75 74 73 72 71 70 69 68 67 66 65 64
100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85 84 83 82 81 80 79 78 77 76 NC NC NC NC I/O10L I/O11L I/O12L I/O13L GND I/O14L I/O15L VCC GND I/O0R I/O1R I/O2R VCC I/O3R I/O4R I/O5R I/O6R NC NC NC NC 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 NC NC NC A6L A5L A4L A3L A2L A1L A0L INTL BUSYL GND M/S BUSYR INTR A0R A1R A2R A3R A4R A5R NC NC NC
CY7C026A (16K x 16)
63 62 61 60 59 58 57 56 55 54 53 52 51
OER
R/WR
SEMR
CER
UBR
I/O7R
I/O8R
I/O9R
LBR
A9R
A8R
A7R
I/O10R
I/O12R
I/O13R
I/O14R
I/O15R
A13R
A12R
I/O11R
GND
Document #: 38-06046 Rev. *C
GND
A10R
A11R
A6R
Page 2 of 18
CY7C026A CY7C036A
Pin Configurations (continued)
100-Pin TQFP Top View
I/O 10L I/O 9L I/O 7L I/O 6L I/O 5L I/O 4L I/O 3L I/O 2L GND I/O 1L I/O 0L R/W L SEM L OE L LB L A 12L UB L A 10L VCC CE L A 11L A 9L A 8L A 7L A 6L 75 NC NC I/O 8L I/O 17L I/O 11L I/O 12L I/O 13L I/O 14L GND I/O 15L I/O 16L VCC GND I/O 0R I/O 1R I/O 2R VCC I/O 3R I/O 4R I/O 5R I/O 6R I/O 8R I/O 17R NC NC 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51
100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85 84 83 82 81 80 79 78 77 76 NC NC NC A 13L A 5L A 4L A 3L A 2L A 1L A 0L INT L BUSY L GND M/S BUSY R INT R A 0R A 1R A 2R A 3R A 4R A 13R NC NC NC
CY7C036A (16K x 18)
OE R
R/W R GND
SEM R
CE R
UB R
I/O 7R
I/O 9R
LB R
A 9R A 8R
A 7R
A 6R
I/O 10R
I/O 12R
I/O 13R
I/O 14R
I/O 15R
I/O 16R
A 12R
I/O 11R
Selection Guide
CY7C026A CY7C036A -12[1] Maximum Access Time Typical Operating Current Typical Standby Current for ISB1 (Both Ports TTL Level) Typical Standby Current for ISB3 (Both Ports CMOS Level) 12 195 55 0.05 CY7C026A CY7C036A -15 15 190 50 0.05 CY7C026A CY7C036A -20 20 180 45 0.05 Unit ns mA mA mA
Document #: 38-06046 Rev. *C
GND
A 10R
A 11R
A 5R
Page 3 of 18
CY7C026A CY7C036A
Pin Definitions
Left Port CEL R/WL OEL A0L-A13L I/O0L-I/O17L SEML UBL LBL INTL BUSYL M/S VCC GND NC CER R/WR OER A0R-A13R I/O0R-I/O17R SEMR UBR LBR INTR BUSYR Right Port Chip Enable Read/Write Enable Output Enable Address Data Bus Input/Output Semaphore Enable Upper Byte Select (I/O8-I/O15 for x16 devices; I/O9-I/O17 for x18 devices) Lower Byte Select (I/O0-I/O7 for x16 devices; I/O0-I/O8 for x18 devices) Interrupt Flag Busy Flag Master or Slave Select Power Ground No Connect means of a mail box. The semaphores are used to pass a flag, or token, from one port to the other to indicate that a shared resource is in use. The semaphore logic is comprised of eight shared latches. Only one side can control the latch (semaphore) at any time. Control of a semaphore indicates that a shared resource is in use. An automatic power-down feature is controlled independently on each port by the chip enable pin. The CY7C026A and CY7C036A are available in 100-pin Thin Quad Plastic Flatpack (TQFP) packages. Write Operation Data must be set up for a duration of tSD before the rising edge of R/W in order to guarantee a valid write. A write operation is controlled by either the R/W pin (see Write Cycle No. 1 waveform) or the CE pin (see Write Cycle No. 2 waveform). Required inputs for non-contention operations are summarized in Table 1. If a location is being written to by one port and the opposite port attempts to read that location, a port-to-port flowthrough delay must occur before the data is read on the output; otherwise the data read is not deterministic. Data will be valid on the port tDDD after the data is presented on the other port. Read Operation When reading the device, the user must assert both the OE and CE pins. Data will be available tACE after CE or tDOE after OE is asserted. If the user wishes to access a semaphore flag, then the SEM pin must be asserted instead of the CE pin, and OE must also be asserted. Interrupts The upper two memory locations may be used for message passing. The highest memory location (3FFF) is the mailbox for the right port and the second-highest memory location (3FFE) is the mailbox for the left port. When one port writes to the other port's mailbox, an interrupt is generated to the owner. Description
Architecture
The CY7C026A and CY7C036A consist of an array of 16K words of 16 and 18 bits each of dual-port RAM cells, I/O and address lines, and control signals (CE, OE, R/W). These control pins permit independent access for reads or writes to any location in memory. To handle simultaneous writes/reads to the same location, a BUSY pin is provided on each port. Two Interrupt (INT) pins can be utilized for port-to-port communication. Two Semaphore (SEM) control pins are used for allocating shared resources. With the M/S pin, the devices can function as a master (BUSY pins are outputs) or as a slave (BUSY pins are inputs). The devices also have an automatic power-down feature controlled by CE. Each port is provided with its own Output Enable control (OE), which allows data to be read from the device.
Functional Description
The CY7C026A and CY7C036A are low-power CMOS 16K x 16/18 dual-port static RAMs. Various arbitration schemes are included on the devices to handle situations when multiple processors access the same piece of data. Two ports are provided, permitting independent, asynchronous access for reads and writes to any location in memory. The devices can be utilized as standalone 16/18-bit dual-port static RAMs or multiple devices can be combined in order to function as a 32/36-bit or wider master/slave dual-port static RAM. An M/S pin is provided for implementing 32/36-bit or wider memory applications without the need for separate master and slave devices or additional discrete logic. Application areas include interprocessor/multiprocessor designs, communications status buffering, and dual-port video/graphics memory. Each port has independent control pins: Chip Enable (CE), Read or Write Enable (R/W), and Output Enable (OE). Two flags are provided on each port (BUSY and INT). BUSY signals that the port is trying to access the same location currently being accessed by the other port. The Interrupt flag (INT) permits communication between ports or systems by Document #: 38-06046 Rev. *C
Page 4 of 18
CY7C026A CY7C036A
The interrupt is reset when the owner reads the contents of the mailbox. The message is user defined. Each port can read the other port's mailbox without resetting the interrupt. The active state of the busy signal (to a port) prevents the port from setting the interrupt to the winning port. Also, an active busy to a port prevents that port from reading its own mailbox and, thus, resetting the interrupt to it. If an application does not require message passing, do not connect the interrupt pin to the processor's interrupt request input pin. The operation of the interrupts and their interaction with Busy are summarized in Table 2. Busy The CY7C026A and CY7C036A provide on-chip arbitration to resolve simultaneous memory location access (contention). If both ports' CEs are asserted and an address match occurs within tPS of each other, the busy logic will determine which port has access. If tPS is violated, one port will definitely gain permission to the location, but it is not predictable which port will get that permission. BUSY will be asserted tBLA after an address match or tBLC after CE is taken LOW. Master/Slave A M/S pin is provided in order to expand the word width by configuring the device as either a master or a slave. The BUSY output of the master is connected to the BUSY input of the slave. This will allow the device to interface to a master device with no external components. Writing to slave devices must be delayed until after the BUSY input has settled (tBLC or tBLA), otherwise, the slave chip may begin a write cycle during a contention situation. When tied HIGH, the M/S pin allows the device to be used as a master and, therefore, the BUSY line is an output. BUSY can then be used to send the arbitration outcome to a slave. Semaphore Operation The CY7C026A and CY7C036A provide eight semaphore latches, which are separate from the dual-port memory locations. Semaphores are used to reserve resources that are shared between the two ports. The state of the semaphore indicates that a resource is in use. For example, if the left port wants to request a given resource, it sets a latch by writing a zero to a semaphore location. The left port then verifies its success in setting the latch by reading it. After writing to the semaphore, SEM or OE must be deasserted for tSOP before attempting to read the semaphore. The semaphore value will be available tSWRD + tDOE after the rising edge of the semaphore write. If the left port was successful (reads a zero), it assumes control of the shared resource, otherwise (reads a one) it assumes the right port has control and continues to poll the semaphore. When the right side has relinquished control of the semaphore (by writing a one), the left side will succeed in gaining control of the semaphore. If the left side no longer requires the semaphore, a one is written to cancel its request. Semaphores are accessed by asserting SEM LOW. The SEM pin functions as a chip select for the semaphore latches (CE must remain HIGH during SEM LOW). A0-2 represents the semaphore address. OE and R/W are used in the same manner as a normal memory access. When writing or reading a semaphore, the other address pins have no effect. When writing to the semaphore, only I/O0 is used. If a zero is written to the left port of an available semaphore, a one will appear at the same semaphore address on the right port. That semaphore can now only be modified by the side showing zero (the left port in this case). If the left port now relinquishes control by writing a one to the semaphore, the semaphore will be set to one for both sides. However, if the right port had requested the semaphore (written a zero) while the left port had control, the right port would immediately own the semaphore as soon as the left port released it. Table 3 shows sample semaphore operations. When reading a semaphore, all sixteen/eighteen data lines output the semaphore value. The read value is latched in an output register to prevent the semaphore from changing state during a write from the other port. If both ports attempt to access the semaphore within tSPS of each other, the semaphore will definitely be obtained by one side or the other, but there is no guarantee which side will control the semaphore.
Document #: 38-06046 Rev. *C
Page 5 of 18
CY7C026A CY7C036A
Maximum Ratings[5]
(Above which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature .................................-65C to +150C Ambient Temperature with Power Applied.............................................-55C to +125C Supply Voltage to Ground Potential ............... -0.3V to +7.0V DC Voltage Applied to Outputs in High Z State ............................................... -0.5V to +7.0V DC Input Voltage[6] ........................................-0.5V to + 7.0V Output Current into Outputs (LOW)............................. 20 mA Static Discharge Voltage........................................... >2001V Latch-Up Current .................................................... >200 mA
Operating Range
Range Commercial Industrial Ambient Temperature 0C to +70C -40C to +85C VCC 5V 10% 5V 10%
Electrical Characteristics Over the Operating Range
CY7C026A CY7C036A -12[1] Parameter VOH VOL VIH VIL IOZ ICC ISB1 Description Output HIGH Voltage (VCC = Min., IOH = -4.0 mA) Output LOW Voltage (VCC = Min., IOH = +4.0 mA) Input HIGH Voltage Input LOW Voltage Output Leakage Current Operating Current (VCC = Max., Com'l. IOUT = 0 mA) Outputs Disabled Indust. Standby Current (Both Ports TTL Level) CEL & CER VIH, f = fMAX Standby Current (One Port TTL Level) CEL | CER VIH, f = fMAX Standby Current (Both Ports CMOS Level) CEL & CER VCC -0.2V, f = 0 Standby Current (One Port CMOS Level) CEL | CER VIH, f = fMAX[7] Com'l. Indust. Com'l. Indust. Com'l. Indust. Com'l. Indust. 115 185 0.05 0.5 125 205 -10 195 55 2.2 0.8 10 325 75 -10 190 215 50 65 120 135 0.05 0.05 110 125 Min. 2.4 0.4 2.2 0.8 10 285 305 70 95 180 205 0.5 0.5 160 175 100 140 0.05 0.5 110 160 45 65 -10 180 Typ. Max. Min. 2.4 0.4 2.2 0.8 10 275 -15 Typ. Max. Min. 2.4 0.4 -20 Typ. Max. Unit V V V V A mA mA mA mA mA mA mA mA mA mA
ISB2
ISB3
ISB4
Capacitance[8]
Parameter CIN COUT Description Input Capacitance Output Capacitance Test Conditions TA = 25C, f = 1 MHz, VCC = 5.0V Max. 10 10 Unit pF pF
Notes: 5. The Voltage on any input or I/O pin cannot exceed the power pin during power-up. 6. Pulse width < 20 ns. 7. fMAX = 1/tRC = All inputs cycling at f = 1/tRC (except output enable). f = 0 means no address or control lines change. This applies only to inputs at CMOS level standby ISB3. 8. Tested initially and after any design or process changes that may affect these parameters.
Document #: 38-06046 Rev. *C
Page 6 of 18
CY7C026A CY7C036A
AC Test Loads and Waveforms
5V 5V R1 = 893 OUTPUT C = 30 pF R2 = 347 VTH = 1.4V OUTPUT C = 30 pF RTH = 250 OUTPUT C = 5 pF R2 = 347 R1 = 893
(a) Normal Load (Load 1)
(b) Thevenin Equivalent (Load 1)
(c) Three-State Delay (Load 2) (Used for tLZ, tHZ, tHZWE, & tLZWE including scope and jig)
AC Test Loads (Applicable to -12 only)[9]
OUTPUT
Z0 = 50 C
R = 50 3.0V GND VTH = 1.4V 10% 3 ns
ALL INPUT PULSES
90% 90% 10% 3 ns
(a) Load 1 (-12 only)
1 . 00
0. 90
0. 80
(ns) for all -12 access times
0. 70
0. 60
0. 50
0. 40
0. 30
0. 20
0. 1 0
0. 00 10 15 20 25 30 35
Capacitance (pF)
(b) Load Derating Curve
Note: 9. Test Conditions: C = 10 pF.
Document #: 38-06046 Rev. *C
Page 7 of 18
CY7C026A CY7C036A
Switching Characteristics Over the Operating Range[10]
CY7C026A CY7C036A -12[1] Parameter READ CYCLE tRC tAA tOHA tACE[11] tDOE tLZOE
[12, 13, 14]
-15 Min. 15 12 15 3 12 8 15 10 3 10 10 3 10 10 0 12 12 15 15 15 12 12 0 0 12 10 0 10 10 3 25 20 30 25 3 20 15 15 0 0 15 15 0 0 3 3 3 Max. Min. 20
-20 Max. Unit ns 20 20 12 12 12 20 20 ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns 12 45 30 ns ns ns ns
Description Read Cycle Time Address to Data Valid Output Hold From Address Change CE LOW to Data Valid OE LOW to Data Valid OE LOW to Low Z OE HIGH to High Z CE LOW to Low Z CE HIGH to High Z CE LOW to Power-Up CE HIGH to Power-Down Byte Enable Access Time Write Cycle Time CE LOW to Write End Address Valid to Write End Address Hold From Write End Address Set-Up to Write Start Write Pulse Width Data Set-Up to Write End Data Hold From Write End R/W LOW to High Z R/W HIGH to Low Z Write Pulse to Data Delay Write Data Valid to Read Data Valid
Min. 12 3
Max.
3 3 0
tHZOE[12, 13, 14] tLZCE[12, 13, 14] tHZCE[12, 13, 14] tPU[14] tPD[14] tABE[11] WRITE CYCLE tWC tSCE[11] tAW tHA tSA[11] tPWE tSD tHD[16] tHZWE[13, 14] tLZWE[13, 14] tWDD[15] tDDD[15]
12 10 10 0 0 10 10 0 3
Notes: 10. Test conditions assume signal transition time of 3 ns or less, timing reference levels of 1.5V, input pulse levels of 0 to 3.0V, and output loading of the specified IOI/IOH and 30-pF load capacitance. 11. To access RAM, CE = L, UB = L, SEM = H. To access semaphore, CE = H and SEM = L. Either condition must be valid for the entire tSCE time. 12. At any given temperature and voltage condition for any given device, tHZCE is less than tLZCE and tHZOE is less than tLZOE. 13. Test conditions used are Load 3. 14. This parameter is guaranteed but not tested. 15. For information on port-to-port delay through RAM cells from writing port to reading port, refer to Read Timing with Busy waveform. 16. For 15 ns industrial parts tHD Min. is 0.5 ns.
Document #: 38-06046 Rev. *C
Page 8 of 18
CY7C026A CY7C036A
Switching Characteristics Over the Operating Range[10] (continued)
CY7C026A CY7C036A -12[1] Parameter BUSY TIMING tBLA tBHA tBLC tBHC tPS tWB tWH tBDD[18] tINS tINR tSOP tSWRD tSPS tSAA
[17]
-15 Min. Max. 15 15 15 15 5 0 13 12 12 12 15 15 15 10 5 5 12 15 10 5 5 5 0 15 Min.
-20 Max. 20 20 20 17 Unit ns ns ns ns ns ns ns 20 20 20 ns ns ns ns ns ns 20 ns
Description BUSY LOW from Address Match BUSY HIGH from Address Mismatch BUSY LOW from CE LOW BUSY HIGH from CE HIGH Port Set-Up for Priority R/W HIGH after BUSY (Slave) R/W HIGH after BUSY HIGH (Slave) BUSY HIGH to Data Valid INT Set Time INT Reset Time SEM Flag Update Pulse (OE or SEM) SEM Flag Write to Read Time SEM Flag Contention Window SEM Address Access Time
Min.
Max. 12 12 12 12
5 0 11
INTERRUPT TIMING[17]
SEMAPHORE TIMING 10 5 5
Data Retention Mode
The CY7C026A and CY7C036A are designed with battery backup in mind. Data retention voltage and supply current are guaranteed over temperature. The following rules ensure data retention: 1. Chip Enable (CE) must be held HIGH during data retention, within VCC to VCC - 0.2V. 2. CE must be kept between VCC - 0.2V and 70% of VCC during the power-up and power-down transitions. 3. The RAM can begin operation >tRC after VCC reaches the minimum operating voltage (4.5 volts).
Timing
Data Retention Mode VCC 4.5V VCC > 2.0V 4.5V tRC
V IH
CE
VCC to VCC - 0.2V
Parameter ICCDR1
Test Conditions[19] @ VCCDR = 2V
Max. 1.5
Unit mA
Notes: 17. Test conditions used are Load 2. 18. tBDD is a calculated parameter and is the greater of tWDD-tPWE (actual) or tDDD-tSD (actual). 19. CE = VCC, Vin = GND to VCC, TA = 25C. This parameter is guaranteed but not tested.
Document #: 38-06046 Rev. *C
Page 9 of 18
CY7C026A CY7C036A
Switching Waveforms
Read Cycle No.1 (Either Port Address Access)[20, 21, 22]
tRC ADDRESS tOHA DATA OUT tAA DATA VALID tOHA
PREVIOUS DATA VALID
Read Cycle No.2 (Either Port CE/OE Access)[20, 23, 24]
CE and LB or UB OE tLZOE DATA OUT tLZCE tPU ICC CURRENT ISB tPD DATA VALID tACE tDOE tHZCE tHZOE
Read Cycle No. 3 (Either Port)[20, 22, 23, 24]
tRC ADDRESS tAA UB or LB tHZCE tLZCE tABE CE tACE tLZCE DATA OUT tHZCE tOHA
Notes: 20. R/W is HIGH for read cycles. 21. Device is continuously selected CE = VIL and UB or LB = VIL. This waveform cannot be used for semaphore reads. 22. OE = VIL. 23. Address valid prior to or coincident with CE transition LOW. 24. To access RAM, CE = VIL, UB or LB = VIL, SEM = VIH. To access semaphore, CE = VIH, SEM = VIL.
Document #: 38-06046 Rev. *C
Page 10 of 18
CY7C026A CY7C036A
Switching Waveforms (continued)
Write Cycle No. 1: R/W Controlled Timing[25, 26, 27, 28]
tWC ADDRESS tHZOE [31] OE tAW CE
[29,30]
tSA R/W tHZWE[31] DATA OUT NOTE 32
tPWE[28]
tHA
tLZWE NOTE 32 tSD tHD
DATA IN
Write Cycle No. 2: CE Controlled Timing[25, 26, 27, 33]
tWC ADDRESS tAW CE
[29,30]
tSA R/W
tSCE
tHA
tSD DATA IN
tHD
Notes: 25. R/W must be HIGH during all address transitions. 26. A write occurs during the overlap (tSCE or tPWE) of a LOW CE or SEM and a LOW UB or LB. 27. tHA is measured from the earlier of CE or R/W or (SEM or R/W) going HIGH at the end of write cycle. 28. If OE is LOW during a R/W controlled write cycle, the write pulse width must be the larger of tPWE or (tHZWE + tSD) to allow the I/O drivers to turn off and data to be placed on the bus for the required tSD. If OE is HIGH during an R/W controlled write cycle, this requirement does not apply and the write pulse can be as short as the specified tPWE. 29. To access RAM, CE = VIL, SEM = VIH. 30. To access upper byte, CE = VIL, UB = VIL, SEM = VIH. To access lower byte, CE = VIL, LB = VIL, SEM = VIH. 31. Transition is measured 500 mV from steady state with a 5-pF load (including scope and jig). This parameter is sampled and not 100% tested. 32. During this period, the I/O pins are in the output state, and input signals must not be applied. 33. If the CE or SEM LOW transition occurs simultaneously with or after the R/W LOW transition, the outputs remain in the high-impedance state.
Document #: 38-06046 Rev. *C
Page 11 of 18
CY7C026A CY7C036A
Switching Waveforms (continued)
Semaphore Read After Write Timing, Either Side[34]
tSAA A0-A2 VALID ADRESS tAW SEM tSCE tSD I/O0 tSA R/W tSWRD OE WRITE CYCLE tSOP READ CYCLE tDOE DATAIN VALID tPWE tHD DATAOUT VALID tHA tSOP VALID ADRESS tACE tOHA
Timing Diagram of Semaphore Contention[35, 36, 37]
A0L-A2L MATCH
R/WL SEML tSPS A0R-A2R MATCH
R/WR SEMR
Notes: 34. CE = HIGH for the duration of the above timing (both write and read cycle). 35. I/O0R = I/O0L = LOW (request semaphore); CER = CEL = HIGH. 36. Semaphores are reset (available to both ports) at cycle start. 37. If tSPS is violated, the semaphore will definitely be obtained by one side or the other, but which side will get the semaphore is unpredictable.
Document #: 38-06046 Rev. *C
Page 12 of 18
CY7C026A CY7C036A
Switching Waveforms (continued)
Timing Diagram of Read with BUSY (M/S = HIGH)[38]
tWC ADDRESSR R/WR MATCH tPWE tSD DATA INR tPS ADDRESSL MATCH tBLA BUSYL tDDD DATAOUTL tWDD VALID VALID tHD
tBHA tBDD
Write Timing with Busy Input (M/S = LOW)
R/W tWB tPWE
BUSY
tWH
Note: 38. CEL = CER = LOW.
Document #: 38-06046 Rev. *C
Page 13 of 18
CY7C026A CY7C036A
Switching Waveforms (continued)
Busy Timing Diagram No. 1 (CE Arbitration)[39] CEL Valid First:
ADDRESSL,R CEL tPS ADDRESS MATCH
CER
tBLC BUSYR
tBHC
CER Valid First:
ADDRESSL,R CER tPS ADDRESS MATCH
CEL
tBLC BUSYL
tBHC
Busy Timing Diagram No. 2 (Address Arbitration)[39] Left Address Valid First:
tRC or tWC ADDRESSL ADDRESS MATCH tPS ADDRESSR tBLA BUSYR tBHA ADDRESS MISMATCH
Right Address Valid First:
tRC or tWC ADDRESSR ADDRESS MATCH tPS ADDRESSL tBLA BUSYL tBHA ADDRESS MISMATCH
Note: 39. If tPS is violated, the busy signal will be asserted on one side or the other, but there is no guarantee to which side BUSY will be asserted.
Document #: 38-06046 Rev. *C
Page 14 of 18
CY7C026A CY7C036A
Switching Waveforms (continued)
Interrupt Timing Diagrams Left Side Sets INTR:
ADDRESSL CEL R/WL INTR tINS [41]
tWC WRITE 3FFF tHA[40]
Right Side Clears INTR:
ADDRESSR CER tINR [41] R/WR OER INTR
tRC READ 3FFF
Right Side Sets INTL:
ADDRESSR CER R/WR INTL tINS[41]
tWC WRITE 3FFE tHA[40]
Left Side Clears INTL:
ADDRESSR CEL tINR[41] R/WL OEL INTL
Notes: 40. tHA depends on which enable pin (CEL or R/WL) is deasserted first. 41. tINS or tINR depends on which enable pin (CEL or R/WL) is asserted last.
tRC READ 3FFE
Document #: 38-06046 Rev. *C
Page 15 of 18
CY7C026A CY7C036A
Table 1. Non-Contending Read/Write Inputs CE H X L L L L L L X H X H X L L X X R/W X X L L L H H H X H H OE X X X X X L L L H L L X X X X UB X H L H L L H L X X H X H L X LB X H H L L H L L X X H X H X L SEM H H H H H H H H X L L L L L L I/O9-I/O17 High Z High Z Data In High Z Data In Data Out High Z Data Out High Z Data Out Data Out Data In Data In Outputs I/O0-I/O8 High Z High Z High Z Data In Data In High Z Data Out Data Out High Z Data Out Data Out Data In Data In Operation Deselected: Power-Down Deselected: Power-Down Write to Upper Byte Only Write to Lower Byte Only Write to Both Bytes Read Upper Byte Only Read Lower Byte Only Read Both Bytes Outputs Disabled Read Data in Semaphore Flag Read Data in Semaphore Flag Write DIN0 into Semaphore Flag Write DIN0 into Semaphore Flag Not Allowed Not Allowed
Table 2. Interrupt Operation Example (assumes BUSYL = BUSYR = HIGH) Left Port Function Set Right INTR Flag Reset Right INTR Flag Set Left INTL Flag Reset Left INTL Flag R/WL L X X X CEL L X X L OEL X X X L A0L-13L 3FFF X X 3FFE INTL X X L[42] H[43] R/WR X X L X CER X L L X Right Port OER X L X X A0R-13R X 3FFF 3FFE X INTR L[43] H[42] X X
Table 3. Semaphore Operation Example Function No action Left port writes 0 to semaphore Right port writes 0 to semaphore Left port writes 1 to semaphore Left port writes 0 to semaphore Right port writes 1 to semaphore Left port writes 1 to semaphore Right port writes 0 to semaphore Right port writes 1 to semaphore Left port writes 0 to semaphore Left port writes 1 to semaphore
Notes: 42. If BUSYL=L, then no change. 43. If BUSYR=L, then no change.
I/O0-I/O17 Left I/O0-I/O17 Right 1 0 0 1 1 0 1 1 1 0 1 1 1 1 0 0 1 1 0 1 1 1 Semaphore free
Status Left Port has semaphore token No change. Right side has no write access to semaphore Right port obtains semaphore token No change. Left port has no write access to semaphore Left port obtains semaphore token Semaphore free Right port has semaphore token Semaphore free Left port has semaphore token Semaphore free
Document #: 38-06046 Rev. *C
Page 16 of 18
CY7C026A CY7C036A
Ordering Information
16K x16 Asynchronous Dual-Port SRAM Speed (ns) 12[1] 15 Ordering Code CY7C026A-12AC CY7C026A-15AC CY7C026A-15AXC CY7C026A-15AI 20 CY7C026A-20AC CY7C026A-20AXC Package Name A100 A100 A100 A100 A100 A100 Package Type 100-Pin Thin Quad Flat Pack 100-Pin Thin Quad Flat Pack 100-Pin Pb-Free Thin Quad Flat Pack 100-Pin Thin Quad Flat Pack 100-Pin Thin Quad Flat Pack 100-Pin Pb-Free Thin Quad Flat Pack Industrial Commercial Operating Range Commercial Commercial
Speed (ns) 12[1] 15 20
Ordering Code CY7C036A-12AC CY7C036A-15AC CY7C036A-15AI CY7C036A-20AC
Package Name A100 A100 A100 A100
Package Type 100-Pin Thin Quad Flat Pack 100-Pin Thin Quad Flat Pack 100-Pin Thin Quad Flat Pack 100-Pin Thin Quad Flat Pack
Operating Range Commercial Commercial Industrial Commercial
Package Diagram
100-Pin Thin Plastic Quad Flat Pack (TQFP) A100 100-Pin Pb-Free Thin Plastic Quad Flat Pack (TQFP) A100
51-85048-*B
All products and company names mentioned in this document may be the trademarks of their respective holders. Document #: 38-06046 Rev. *C Page 17 of 18
(c) Cypress Semiconductor Corporation, 2005. The information contained herein is subject to change without notice. Cypress Semiconductor Corporation assumes no responsibility for the use of any circuitry other than circuitry embodied in a Cypress product. Nor does it convey or imply any license under patent or other rights. Cypress products are not warranted nor intended to be used for medical, life support, life saving, critical control or safety applications, unless pursuant to an express written agreement with Cypress. Furthermore, Cypress does not authorize its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress products in life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies Cypress against all charges.
CY7C026A CY7C036A
Document History Page
Document Title: CY7C026A/CY7C036A 16K X 16/18 Dual-Port Static RAM Document Number: 38-06046 REV. ** *A *B *C ECN NO. 110198 122296 237621 393454 Issue Date 09/29/01 12/27/02 SEE ECN See ECN Orig. of Change SZV RBI YDT YIM Description of Change Change from Spec number: 38-00832 to 38-06046 Power up requirements added to Maximum Ratings Information Removed cross information from features section Added Pb-Free Logo Added Pb-Free parts to ordering information: CY7C026A-15AXC, CY7C026A-20AXC
Document #: 38-06046 Rev. *C
Page 18 of 18


▲Up To Search▲   

 
Price & Availability of CY7C036A

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X